- SpaceX (Sunnyvale, CA)
- Sr . SOC/ ASIC Physical Design Engineer (Silicon Engineering) at SpaceX Sunnyvale, CA SpaceX was founded under the belief that a future where humanity is ... the ultimate goal of enabling human life on Mars. SR . SOC/ ASIC PHYSICAL DESIGN...and weekends as needed COMPENSATION AND BENEFITS: Pay range: Physical Design Engineer/ Senior : $170,000.00 -… more
- NVIDIA (Santa Clara, CA)
- …work, to amplify human inventiveness and intelligence. We are now looking for a motivated ASIC Physical Design Engineer to join our dynamic and growing team. ... inventiveness and intelligence. What you'll be doing: + Drive next generation physical design work to achieve best in class PPA for high-performance designs, eg… more
- NVIDIA (Santa Clara, CA)
- …work, to amplify human inventiveness and intelligence. We are now looking for a motivated ASIC Physical Design Engineer, Netlisting to join our dynamic and ... inventiveness and intelligence. What you'll be doing: + You will drive physical design of high-frequency and low-power CPUs, GPUs, SoCs at block level, cluster… more
- Micron Technology, Inc. (Minneapolis, MN)
- …collaborative skills in this exciting and outstanding opportunity. We're looking for a Principal Physical Design Engineer ( ASIC ) to join our team! You will ... design , layout, and optimization of Memory/Logic/Analog circuits. + Chip floor-planning, physical design , IP integration, static timing analysis, design … more
- Google (Mountain View, CA)
- …and networking technologies that power all of Google's services. Manage a COT and ASIC Physical design group primarily responsible for TPU development ... experience. + 15 years of experience in a Silicon Physical Design role. + 10 years of...+ 20 years of PD experience in complex processor-class ASIC or SoC programs, including track record of product… more
- Amazon (Cupertino, CA)
- …handling massive scale and rapid integration of emergent technologies. We're looking for an ASIC Physical Design Engineer to help us trail-blaze new ... building an environment that celebrates knowledge-sharing and mentorship. Our senior members enjoy one-on-one mentoring and thorough, but kind,...3yrs in EE/CS - 4+ years of experience in ASIC Physical Design from -… more
- Amazon (Portland, OR)
- …generation of ML accelerator at edge. Work hard. Have fun. Make history. As a Senior Physical Design Engineer, you will: - Work with RTL/logic designers ... latest generation of Echo devices is looking for a Sr . Physical Design Engineer to...BS in EE/CS - 7+ years of experience in ASIC Physical Design from RTL-to-GDSII… more
- NVIDIA (Santa Clara, CA)
- …the opportunity to build complex GPU and Tegra chips and interact directly with unit-level ASIC , Physical Design , CAD, Package Design , Software, DFT and ... NVIDIA System-On-Chip (SOC) group is looking for a top ASIC Engineer with a curiosity about SOC design...design quality checks and reviews to present the physical design team with high-quality RTL What… more
- Cadence Design Systems, Inc. (Austin, TX)
- …+ BS in Electrical, Computer Engineering or equivalent experience with 7+ years of ASIC and/or physical design flow experience; MS preferred. + Familiar ... + RTL/logic design skills as well as physical design skills for timing closure. +...skills for timing closure. + Closely collaborate with the ASIC design team to drive architectural feasibility… more
- SpaceX (Irvine, CA)
- Sr . SOC/ ASIC Timing Signoff & Front-End...and timing closure + Work closely with chip architecture, design verification, physical design , DFT, ... the ultimate goal of enabling human life on Mars. SR . SOC/ ASIC TIMING SIGNOFF & FRONT-END IMPLEMENTATION...+ Experience with test modes, mode merging to optimize physical design implementation and STA Signoff. +… more
- Micron Technology, Inc. (Minneapolis, MN)
- …and collaborative skills in this exciting and outstanding opportunity. As a Sr . Digital Design Engineer in Micron's ASIC logic design team, you will be ... a driven individual looking to advance into the high-speed ASIC and memory controller design industry. **What's...and BEOL teams from Design Verification, Analog Design , and Modeling to Synthesis and Physical … more
- Northrop Grumman (Dulles, VA)
- …will join the Electrical Engineering Avionics department that specializes in FPGA/ ASIC for space applications. **Basic Qualifications: Sr . Principal Digital ... not only part of history, they're making history. We have openings for a **FPGA/ ASIC Engineer** to join our team of qualified, diverse individuals in the Tactical… more
- Qualcomm (Santa Clara, CA)
- …Bachelor's degree in Science, Engineering, or related field and 2+ years of ASIC design , verification, validation, integration, or related work experience. OR ... Science, Engineering, or related field and 1+ year of ASIC design , verification, validation, integration, or related...systems modelling language proficiency is a plus - WIFI Physical layer knowledge is a plus **Principal Duties &… more
- Microsoft Corporation (Redmond, WA)
- …is looking for a ** Senior Quantum Analog Application-Specific Integrated Circuit ( ASIC ) Design Engineer** to work as an Application Specific Integrated ... are looking for a ** Senior Quantum Analog Application-Specific Integrated Circuit ( ASIC ) Design Engineer** who is as passionate about their own contribution… more
- NVIDIA (Santa Clara, CA)
- …languages, such as: Perl, Python and Make etc. + A working understanding of floor-planning, ASIC physical design , VLSI and DFT. + A hands on technical ... Memory Security and System Configuration: NVIDIA is seeking a Senior Hardware Security Architect to architect, design ,...a Senior Hardware Security Architect to architect, design , validate, and guide implementation of HW security for… more
- NVIDIA (Santa Clara, CA)
- NVIDIA is seeking an outstanding Senior ASIC Design Engineer to design and implement the world's leading SoC's and GPU's. This position offers the ... synthesis/timing clean design while working with the physical design team to ensure a routable...Systems design . + A deep understanding of ASIC design flow including RTL design… more
- NVIDIA (Santa Clara, CA)
- …+ As a Clocks team member, you will be collaborating with other architects, ASIC designers and verification engineers to design high frequency clocks. + You ... today. The clocks group is looking for a top-notch ASIC engineer to join the team. The Team is...and CPU clocking. The team collaborates with the front design team to understand the clocking requirements for the… more
- NVIDIA (Santa Clara, CA)
- …+ As a Clocks team member, you will be collaborating with other architects, ASIC designers and verification engineers to design high frequency clocks. + You ... today. The Clocks group is looking for a top-notch ASIC engineer to join the team. The Team is...SOC clocking. The team collaborates with the front end design team to understand the clocking requirements for the… more
- NVIDIA (Santa Clara, CA)
- …GPU employing pioneering technologies. Your role will be cross-disciplinary, working with software, ASIC design , verification, physical design , VLSI and ... We are now looking for a Senior System Architect for our GPU team! A...specification, working with multi-functional teams in the organization including ASIC design , software, and VLSI to explore… more
- NVIDIA (Santa Clara, CA)
- We are now looking for a Senior ASIC Power Engineer! NVIDIA is seeking extraordinary power engineers to design hardware accelerators and processors on our ... in SystemVerilog or similar HDL + Solid understanding of physical design and VLSI + Good communication...want to hear from you. Come, join our GPU ASIC team and help build the real-time, cost-effective computing… more