- Meta (Austin, TX)
- … DFT EDA tools and IEEE standards (1149, 1500, 1687). **Required Skills:** ASIC Engineer , DFT Responsibilities: 1. Develop and implement DFT ... **Summary:** Meta is hiring ASIC DFT Engineers within our Infrastructure organization to work on Design for Test ( DFT ) methodologies, implementation, and… more
- Qualcomm (Austin, TX)
- …digital transformation to help create a smarter, connected future for all. As a DFT Engineer you will work with chip architects, chip designers, implementation ... in digital ASIC design; experience using Verilog or VHDL + Experience with ASIC test, DFT , and debug + 5+ years of practical experience with test or DFT … more
- Siemens (Austin, TX)
- …or BSCS required; MSEE desired * 3 to 8 years of experience as an Applications Engineer , ASIC Design Engineer or related field * Digital design experience ... * Proven track record of Design for Test for ASIC design * Demonstrated knowledge of Tcl language and...products * Ideal candidate has experience with Siemens Tessent DFT products * Simulation and verification expertise * Project… more
- Meta (Austin, TX)
- …on Chip (SoC) and IP for data center applications. **Required Skills:** ASIC Implementation Engineer - Synthesis Responsibilities: 1. Run Logic/Physical ... **Summary:** Meta is hiring ASIC Frontend Implementation Engineers within our Infrastructure organization....with the Designers to create waivers. 6. Perform RTL DFT Analysis and improve the DFT coverage… more
- Meta (Austin, TX)
- …on Chip (SoC) and IP for data center applications. **Required Skills:** ASIC Implementation Engineer - Static Verification Responsibilities: 1. Perform Flat ... **Summary:** Meta is hiring ASIC Frontend Implementation Engineers within our Infrastructure organization....with the Designers to create waivers. 4. Perform RTL DFT Analysis and improve the DFT coverage… more
- Meta (Austin, TX)
- …on Chip (SoC) and IP for data center applications. **Required Skills:** ASIC Implementation Engineer - Timing Responsibilities: 1. Develop Timing Constraints ... **Summary:** Meta is hiring ASIC Frontend Implementation Engineers within our Infrastructure organization....Full chip Flat & Hierarchical Constraints for Functional & DFT Modes. 4. Perform STA for full chip and… more
- Amazon (Austin, TX)
- …scale and rapid integration of emergent technologies. We're looking for an ASIC Design Eengineer to help us trail-blaze new technologies and architectures, while ... integrate multiple subsystems into top level SOC, ensure correct clock/reset/functional/ DFT signal routing - As a key member of...signal routing - As a key member of the ASIC design team, you will implement and deliver high… more
- Amazon (Austin, TX)
- …underserved communities around the world. Come work at Amazon! We're hiring a Sr. Modem Engineer within a high performance ASIC design team. This team is using ... constructed using UVM, System C and DPI-C. . Ensure that the block meets DFT , timing and power targets by working closely with the implementation team. . Learn… more
- Amazon (Austin, TX)
- …Develop and maintain comprehensive gate-level simulation test plans for verifying ASIC functionality and timing. Analyze simulation results, identify and debug logic ... integrate 3rd party IP blocks . Understand low power design & the impact of DFT on the blocks . Perform initial synthesis & timing analysis . Assist verification… more
- Amazon (Austin, TX)
- …by running and tracking results of front-end tools including: Synthesis, Lint (RTL, DFT , UPF), Power Analysis and STA - Work with pre-silicon verification teams to ... assist in defining test-plans/test-benches - Work with post-silicon validation teams to define and execute on test-plans - Write high quality documents to guide a scalable team Basic Qualifications - Bachelor's degree in Electrical Engineering, Communications… more
- Amazon (Austin, TX)
- …to improve physical design flows and methods * Collaborate with RTL, DFT designers to ensure high quality design implementation Basic Qualifications - Enrolled ... in a Bachelors' degree program or higher in Electrical Engineering, Computer Engineering, or a related field with a graduation conferral date between December 2025 and September 2026 - Scripting internship/project experience with Python, Perl or equivalent -… more
- Amazon (Austin, TX)
- …integrate 3rd party IP blocks . Understand low power design & the impact of DFT on the blocks . Perform initial synthesis & timing analysis . Assist verification ... team in unit verification including test plan development . Assist with debug and bring-up About the team Basic Qualifications . Bachelor's degree in Electrical / Communications Engineering or related field, or equivalent experience . 7+ years of experience in… more
- Qualcomm (Austin, TX)
- …to help create a smarter, connected future for all. QCTs Digital ASIC Team is actively seeking candidates for several physical design engineering positions ... SOC and core design team. As a physical design engineer you will innovate, develop, and implement chips and...this role involves good understanding of functional and test ( DFT ) mode constraints for place and route, floorplanning, power… more
- Amazon (Austin, TX)
- …AI services for our customers' businesses. We are seeking experienced Physical Design Engineer to build the next generation of our cloud server platforms. Our ... using EDA tools (examples: PrimeTime, Tempus, or others) - Understanding of ASIC Physical Design from RTL-to-GDSII - Understanding of other sign-off activities… more
- Amazon (Austin, TX)
- …AI services for our customers' businesses. We are seeking experienced Physical Design Engineer to build the next generation of our cloud server platforms. Our ... visualizing QoR/stats - Interface directly with RTL, Physical Design, Package Design, DFT and other teams to improve methodologies and efficiencies and drive efforts… more