• Principal Silicon Validation

    Blue Cheetah Analog Design (Sunnyvale, CA)
    Silicon Validation Engineer Engineer [On-site Position - Sunnyvale, CA] Blue Cheetah Analog Design Inc. is a semiconductor technology start-up headquartered ... High-level Job Description: * Use your silicon test experience to perform validation and qualification of our D2D silicon testchips * Develop/Evolve the hardware… more
    Blue Cheetah Analog Design (07/16/24)
    - Save Job - Related Jobs - Block Source
  • Principal Quality Engineer

    Cirtec Medical (Santa Clara, CA)
    Principal Quality Engineer - Catheter Department: Quality Control Location: Santa Clara, CA START YOUR APPLICATION ... (https://apply.hrmdirect.com/resumedirect/ApplyOnline/Apply.aspx?req\_id=3155450&source=3155450-CJB-0) JOB SUMMARY The Pr. Catheter Quality Engineer will develop, establish, and maintain quality engineering methodologies,… more
    Cirtec Medical (08/10/24)
    - Save Job - Related Jobs - Block Source
  • Sr. Principal Analog Design Engineer

    Skyworks (San Jose, CA)
    Sr. Principal Analog Design Engineer Apply now " Date:Aug 25, 2024 Location: San Jose, CA, US Company: Skyworks If you are looking for a challenging and exciting ... who together are changing the way the world communicates. Requisition ID: 72766 Sr. Principal Analog Design Engineer Are you looking for the next step in… more
    Skyworks (08/14/24)
    - Save Job - Related Jobs - Block Source
  • Principal Application Engineer

    Renesas (Milpitas, CA)
    Principal Application Engineer Job Description Sr Staff Applications Engineer Overview: **Seeking an individual to work on cutting edge digital power ... when targets are not met. + Guide other staff in creation of Applications Validation Plans by using experience to ensure corner cases are covered + Develop valuable… more
    Renesas (07/23/24)
    - Save Job - Related Jobs - Block Source
  • Principal Mechanical Engineer

    Cirtec Medical (Santa Clara, CA)
    Principal Mechanical Engineer Department: LG Engineering Location: Santa Clara, CA START YOUR APPLICATION ... will be involved in the design, development, documentation and validation of new customer products, and modification of existing...verification. JOB SUMMARY We are actively looking for a Principal Mechanical Engineer who can bring innovation… more
    Cirtec Medical (08/21/24)
    - Save Job - Related Jobs - Block Source
  • Principal RF SiP Module Design…

    Skyworks (San Jose, CA)
    Principal RF SiP Module Design Engineer Apply now " Date:Aug 8, 2024 Location: San Jose, CA, US Company: Skyworks If you are looking for a challenging and ... Selected team member for the RF SiP Module Design Engineer position can work at various location of Skyworks,...with a team of EM Design Engineers, Lab Technicians, Validation /Optimization Engineers, Test Engineers and Package Engineers to tackle… more
    Skyworks (06/11/24)
    - Save Job - Related Jobs - Block Source
  • Associate Principal Manufacturing Equipment…

    BioFire Diagnostics, LLC. (San Jose, CA)
    The Associate Principal Manufacturing Equipment Engineer will be responsible for the sustainment and performance of production equipment to maintain employee ... deployment to production line. + Optimize manufacturing equipment during validation and qualification (IQ/OQ/PQ) + Produce Verification/ Validation protocols… more
    BioFire Diagnostics, LLC. (08/15/24)
    - Save Job - Related Jobs - Block Source
  • Principal Systems Integration & Test…

    RTX Corporation (San Jose, CA)
    …and safeguard the global community. We're on the lookout for a dedicated Principal Systems Integration & Test Engineer driven by excellence to contribute ... to support customers meetings and demonstrations, system deployments (installation, integration/ validation /verification). Qualifications You Must Have: + Typically requires a… more
    RTX Corporation (08/13/24)
    - Save Job - Related Jobs - Block Source
  • Principal Hardware Design Engineer

    Micron Technology, Inc. (San Jose, CA)
    …the world to learn, communicate and advance faster than ever. We are seeking a Principal HW Design Engineer to join our fast-paced Central Engineering HW Group ... Technology! We focus on the architecture, design, bring up, validation & release to manufacturing of systems based on...design and implementation. + Define and implement test and validation plans including detailed design reviews of all major… more
    Micron Technology, Inc. (06/12/24)
    - Save Job - Related Jobs - Block Source
  • Principal Hardware Quality Engineer

    Microsoft Corporation (Mountain View, CA)
    …that will manage and optimize the Cloud infrastructure. We are looking for a Principal Hardware Quality Engineer to join the team. Microsoft's mission is to ... or CPU and methods for failure analysis, debugging or validation . + 8+ years of direct engineering experience in...or CPU and methods for failure analysis, debugging or validation . + 12+ years of proven success of leading… more
    Microsoft Corporation (08/22/24)
    - Save Job - Related Jobs - Block Source
  • Principal Design Engineer

    Microsoft Corporation (Mountain View, CA)
    …that will manage and optimize the Cloud infrastructure. We are looking for a ** Principal ** **Design Engineer ** (Silicon) to join the team. Microsoft's mission is ... Transfer Level (RTL) design, synthesis, static timing analysis, and silicon validation . Throughout the program you will be interacting with architecture, design,… more
    Microsoft Corporation (08/16/24)
    - Save Job - Related Jobs - Block Source
  • Design Engineer - Principal /MTS…

    Micron Technology, Inc. (San Jose, CA)
    …crafting the on-chip memory controller, for non-volatile memory products, design validation at block, subsystem, and fullchip level. **Responsibilities and Tasks** ... behavior in Verilog * Collaborate with Product Engineering wrt validation plan and review Silicon result * Assist in...and review Silicon result * Assist in silicon design validation , reticle experiments, qual and tape-out revisions as needed… more
    Micron Technology, Inc. (06/21/24)
    - Save Job - Related Jobs - Block Source
  • Principal Laser Systems Control…

    Lightmatter (Mountain View, CA)
    …of light for efficient computing and communications. If you're a collaborative engineer or scientist who has a passion for innovation, solving challenging technical ... at Lightmatter! We are hiring a Laser Systems Control Engineer to join our team. In this role, you...implement the designs. + Coordinate with the test and validation team on calibration routines for packaging and assembly.… more
    Lightmatter (08/30/24)
    - Save Job - Related Jobs - Block Source
  • Principal Engineer

    Bausch + Lomb (Pleasanton, CA)
    …IT for critical IT infrastructure. Will be responsible for leading the validation and qualification of new products, processes, machines, and systems. Will also ... to ensure implementation to Company and International Standards. + Write and execute detailed validation protocols for FAT / IQ / OQ / PQ. + Evaluating manufacturing… more
    Bausch + Lomb (07/04/24)
    - Save Job - Related Jobs - Block Source
  • Principal Analog/ Mixed-Signal IC Design…

    Cisco (San Jose, CA)
    …in software using the following: Cadence (Virtuoso), Spectre/APS/SpectreX, layout validation and post-layout extraction tools (Virtuoso or Calibre), EMX, mixed ... (characterization over PVT, electromigration, power, and IR drop analysis) * Laboratory validation (solid ESD practices and test high speed interfaces) Why Cisco?… more
    Cisco (08/27/24)
    - Save Job - Related Jobs - Block Source
  • Principal Design Engineer

    Cadence Design Systems, Inc. (San Jose, CA)
    …STA, timing closure and P&R, and participating in silicon bring up with the validation team. Job requirement: BSEE and at least 4-5 years of prior experience ... required. MSEE and at-lest 2-3 years of prior experience strongly preferred. Prior experience in timing and or RTL design of high-speed interfaces. Prior experience of collaborating with Physical Design teams in multiple successful ASIC/IP tapeouts. Knowledge… more
    Cadence Design Systems, Inc. (08/01/24)
    - Save Job - Related Jobs - Block Source
  • Sr. Principal STA Solutions Engineer

    Cadence Design Systems, Inc. (San Jose, CA)
    …& ECO flows. . Performing timing correlation, tool feature benchmarking, constraints validation , spice analysis on various tech nodes and customer designs. . Work ... on In-design timing ECO optimizations solutions with basic knowledge of Place and Route, Clock Tree, RC Extraction, power and UPF/CPF concepts. . Execute and lead Tempus timing signoff campaigns at existing and new customers. . Automation of flows using… more
    Cadence Design Systems, Inc. (07/03/24)
    - Save Job - Related Jobs - Block Source
  • Principal Software Engineer

    General Motors (Mountain View, CA)
    …the Linux kernel community and upstreaming drivers + Experience with bringup/ validation /system level testing of SoC's + Effective interpersonal, leadership, and ... communication skills **About GM** Our vision is a world with Zero Crashes, Zero Emissions and Zero Congestion and we embrace the responsibility to lead the change that will make our world better, safer and more equitable for all. **Why Join Us** We aspire to… more
    General Motors (07/18/24)
    - Save Job - Related Jobs - Block Source
  • Sr Principal Engineer Hardware…

    Palo Alto Networks (Santa Clara, CA)
    …component selection; schematic entry; layout oversight; prototype bring-up, debug, and validation testing. The Hardware Engineering team is an interdisciplinary team ... including: mechanical engineering, signal integrity, PCB layout, and compliance. You will also collaborate cross-functionally with product management, software, operations and quality assurance. **Your Impact** + Excellent ability to drive tasks proactively,… more
    Palo Alto Networks (08/01/24)
    - Save Job - Related Jobs - Block Source
  • Principal Facilities Administrative Spec

    Medtronic (Menlo Park, CA)
    …more connected, compassionate world. **A Day in the Life** The ** Principal Facilities Administrative Specialist** demonstrates quality in all actions; contributes to ... external audits for areas managed by facilities department. + Develop validation plans, protocols, risk assessments, engineering studies for facilities equipment,… more
    Medtronic (08/07/24)
    - Save Job - Related Jobs - Block Source