- Google (Sunnyvale, CA)
- …delivering unparalleled performance, efficiency, and integration. As a Signal Integrity/ Power Integrity Engineer, you will lead chip and package ... vendors. You will also drive signal and power design implementations on chip and advanced...design team, system design teams and suppliers to drive chip package SI/PI design goal, define boundaries… more
- Google (Sunnyvale, CA)
- …efficiency, and integration. In this role, you will lead chip and package design, ensuring optimal Signal Integrity/ Power Integrity (SI/PI) performance ... and vendors, you will drive signal and power design implementations on chip and advanced...design team, system design teams and suppliers to drive chip package SI/PI design goal, define boundaries… more
- The Boeing Company (Huntington Beach, CA)
- …interfaces + Analog precision blocks such as Voltage/Current references, Temperature sensors, Signal conditioning channels + Power Management blocks such as ... for aerospace systems. SSED develops digital, analog, and RF Systems on Chip (SoCs) for radar, navigation, electronic warfare, communications, processing, and other… more
- The Boeing Company (Tukwila, WA)
- …interfaces + Analog precision blocks such as Voltage/Current references, Temperature sensors, Signal conditioning channels + Power Management blocks such as ... for aerospace systems. SSED develops digital, analog, and RF Systems on Chip (SoCs) for radar, navigation, electronic warfare, communications, processing, and other… more
- The Boeing Company (Huntington Beach, CA)
- …growth. Find your future with us. Boeing is seeking a **Lead** **Analog Mixed- Signal Layout Design Engineer** to join our team in **Huntington Beach, CA** . ... for aerospace systems. SSED develops digital, analog, and RF Systems on Chip (SoCs) for radar, navigation, electronic warfare, communications, processing, and other… more
- Integense (San Diego, CA)
- …mixed- signal circuits to achieve state-of-the-art performance. + Own chip -level mixed- signal integration, verification, and characterization to ensure ... drive cutting-edge solutions in high-speed drivers, precision measurement, isolation, and power regulation. We offer a comprehensive compensation package ,… more
- Qualcomm (Boxborough, MA)
- …optimization, RFIC / Analog IC Design, PA architectures, RF Front-End Engineering, Signal Processing/Integration, RF microwave design, power amplifiers, WLAN / ... Board design + Electrical system analysis and modeling + Signal and power integrity (SIPI) analysis +... Amplifiers, Transmitter, Receiver, ACLR + Layout experience and chip tape-out + Programming Experience in Perl, MATLAB, Cadence,… more
- Northrop Grumman (Linthicum, MD)
- …(IC) Design Engineers to join our team in the design and layout of custom, low- power , RF, analog and mixed signal circuits. The ideal candidate will have ... extraction for a variety of RF, analog and mixed signal circuits. The right candidate will be comfortable in...design project from concept through tapeout. Create and maintain chip design schedule and meet tight deadlines in accordance… more
- Northrop Grumman (Linthicum, MD)
- …(IC) Layout Engineers to join our team in the design and layout of custom, low- power , analog and mixed signal circuits. The ideal candidate will have experience ... (LVS, DRC), and parasitic extraction for a variety of analog/mixed signal circuits, Process Control Monitor (PCM) circuits, and physical measurement structures.… more
- Google (Sunnyvale, CA)
- …the product development process for mass volume production design, with a focus on signal integrity, power integrity and lab validation. + Lab experience with ... or equivalent practical experience. + 6 years of experience working in a signal integrity technical environment, or 5 years of experience with an advanced degree.… more
- Fortive Corporation (Beaverton, OR)
- …tools. **Key Responsibilities:** + **Design:** Develop and manage large analog and mixed signal blocks and full chip schematics. + **Layout Design:** Design ... Optimization:** Run simulations on designs to optimize performance and manufacturability. + ** Signal Analysis:** Perform signal analysis on systems external to… more
- Google (Sunnyvale, CA)
- …equipment in a simulated data center environment, utilizing Google labs, power , and safety equipment. Regular development and processing of engineering hardware ... or equivalent practical experience. + 4 years of experience working in a signal integrity technical environment, or 3 years of experience with an advanced degree.… more
- Palo Alto Networks (Santa Clara, CA)
- …rules: perform pre- and post-route signal integrity analysis of ASIC and multi- chip -module designs + Model and analyze power delivery networks for ASIC/ ... in hands-on design and validation of high-speed PCB and ASIC package development + Power integrity design and analysis and well versed in PI simulation… more
- NVIDIA (CA)
- … package design is preferred. + Good understanding of transmission line theory, power delivery and signal integrity is desired. + Strong programming and ... We are now looking for a Senior Packaging Technical Engineer. NVIDIA has continuously reinvented itself...you'll be doing: + Your responsibilities include defining the chip pad ring, substrate interconnect scheme, and lead the… more
- Amazon (Austin, TX)
- …work independently on multiple issues - Good understanding of transmission line theory, power delivery and signal integrity is desired. - Strong programming and ... the world. Annapurna Labs is looking for a Sr. Packaging Engineer. As a senior member of the team,...teams, software engineers to deliver the next generation ML chip . In this position, you will have the opportunity… more
- Meta (Sunnyvale, CA)
- …SI/PI Responsibilities: 1. Drive chip - package -system co-design by driving signal and power integrity requirements analysis and optimization to involved ... looking for an experienced ASIC Packaging Engineer, Signal Integrity, and Power Integrity focus for...memory and chiplet standards and timing budget methodology. 18. Package -level signal integrity and power … more
- MetaOption, LLC (Milpitas, CA)
- … chip assembly or 2.5D packaging . Knowledge and experience in extracting/simulating package designs for Signal and Power integrities using tools such ... Responsibilities The Package substrate design focus on signal and power integrities analyses as well...Collateral packaging manufacturing and assembly rules * Chip and package Reliability analyses * Die+Pkg+pcb… more
- Broadcom (Austin, TX)
- …for ASIC package designs and layout, including aspects of signal integrity, power integrity, manufacturability, reliability, and thermal, in partnership ... you apply.** **Job Description:** Broadcom is seeking an experienced package design engineer for complex flip- chip -BGA packages...package design, including high-speed SerDes . Knowledge of package -level signal integrity and power … more
- Northrop Grumman (Baltimore, MD)
- …circuit board materials, design, fabrication, and assembly processes + Integrated Circuit packaging techniques including chip -and-wire, QFN, flip- chip + RF ... engineers with experience and interest in electronic assembly and packaging design. **What You'll get to Do:** + Designing,...lab equipment such as network analyzers, spectrum analyzers, signal generators, power meters, etc. + Antenna… more
- Motion Recruitment Partners (Palo Alto, CA)
- …GDSII. You will collaborate with the Foundry Process Engineer, SoC Architect, Microarchitecture, Packaging , Signal Integrity and Power Integrity teams to ... electro-migration, peak current, di/dt,and effective resistance analysis + Develop and support Chip - package -Co-Analysis (CPA) and Chip - Power -Model (CPM)… more